推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

16位乘法器,VHDL语言编写的

更新时间:2019-10-29 18:07:24 大小:979K 上传用户:zyf901126查看TA发布的资源 标签:乘法器vhdl 下载积分:9分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

16位乘法器,VHDL语言编写的 16位乘法器,VHDL语言编写的

image.png

部分文件列表

文件名文件大小修改时间
mult16s/mult16s.asm.rpt7KB2009-12-09 14:34:36
mult16s/mult16s.bsf2KB2009-09-14 09:09:52
mult16s/mult16s.done1KB2009-12-09 14:45:40
mult16s/mult16s.fit.rpt143KB2009-12-09 14:34:32
mult16s/mult16s.fit.smsg1KB2009-12-09 14:34:30
mult16s/mult16s.fit.summary1KB2009-12-09 14:34:30
mult16s/mult16s.flow.rpt6KB2009-12-09 14:34:40
mult16s/mult16s.map.rpt19KB2009-12-09 14:34:20
mult16s/mult16s.map.smsg1KB2009-12-09 14:34:16
mult16s/mult16s.map.summary1KB2009-12-09 14:34:20
mult16s/mult16s.merge.rpt7KB2009-09-16 08:59:48
...

全部评论(0)

暂无评论