推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

基于FPGA的DS18B20控制程序设计及其Verilog实现

更新时间:2016-07-28 22:12:53 大小:146K 上传用户:myyaom查看TA发布的资源 标签:ds18b20 下载积分:2分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

DS18B20是一个1-wire总线,12bit的数字温度传感器,其详细的参数这里不做具体的介绍,只讨论其基于Verilog的控制程序的设计

部分文件列表

文件名 大小
1469715173基于FPGA的DS18B20控制程序设计及其Verilog实现.docx 146K

全部评论(0)

暂无评论