推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

Verilog_HDL的基本语法大全

更新时间:2017-12-11 09:18:32 大小:138K 上传用户:背包1234查看TA发布的资源 标签:verilog_hdl语法 下载积分:0分 评价赚积分 (如何评价?) 收藏 评论(1) 举报

资料介绍

阐述了Verilog语言的基本语法,这是一本定位于Verilog语言初学者必须要首先看懂的一本电子书

部分文件列表

文件名文件大小修改时间
_Verilog_HDL的基本语法.doc805KB2016-02-05 16:04:44

全部评论(1)

  • 2020-08-25 22:49:16verifone

    通俗易懂